(Topic ID: 337596)

yet another Bally LED anti flicker solution

By bontango

11 months ago


Topic Heartbeat

Topic Stats

  • 23 posts
  • 10 Pinsiders participating
  • Latest reply 9 months ago by Quench
  • Topic is favorited by 5 Pinsiders

You

Linked Games

No games have been linked to this topic.

    Topic Gallery

    View topic image gallery

    Screen Shot 2023-07-27 at 10.19.00 AM (resized).png
    anti flicker module (resized).png
    #1 11 months ago

    If you do not want to solder dozen of resistors to your LEDs to get rid of LED flickering
    you may want to try my 'centralized' DIY anti flicker solution
    https://lisy.dev/bally-led-anti-flicker-solution.html
    have fun

    anti flicker module (resized).pnganti flicker module (resized).png
    #2 11 months ago

    Interesting!

    #3 11 months ago

    Ordered

    I assume this is just for the bally -35 board since others use different methods to trigger the zero cross.

    #4 11 months ago
    Quoted from bontango:

    If you do not want to solder dozen of resistors to your LEDs to get rid of LED flickering
    you may want to try my 'centralized' DIY anti flicker solution

    How much delay are you adding? Note the zero crossing interrupt is taken on the falling edge of the zero crossing input signal, not the rising edge. So the LEDs are being switched on closer to the 4 volts mark after internal service routine delays.

    #5 11 months ago
    Quoted from Quench:

    How much delay are you adding? Note the zero crossing interrupt is taken on the falling edge of the zero crossing input signal, not the rising edge. So the LEDs are being switched on closer to the 4 volts mark after internal service routine delays.

    We did some tests and found out that it works best with a 1ms delay.
    The Zero Cross signal is scanned and put to a BIG shift register, so both the falling and rising edge is delayed by 1ms
    same on BallyFA when 'LED option' is activated
    Here is the VHDL code with a 500 bit wide shift register from BallyFA

    architecture Behavioral of signal_delay is
    constant DELAY : positive := 500; -- fix 1mS Delay
    signal delay_line : std_logic_vector(DELAY-1 downto 0);
    begin
    process(clk_in)
    begin
    if rising_edge(clk_in) then
    delay_line <= delay_line(DELAY-2 downto 0) & sig_in;
    end if;
    end process;

    sig_out <= delay_line(DELAY-1);

    #6 11 months ago
    Quoted from slochar:

    I assume this is just for the bally -35 board since others use different methods to trigger the zero cross

    It should work for Bally -17, -35, -133, 6802; but we are working on a variant for Stern-100/200 and one for Alltek Ultimate.
    Also there will be a Bally variant which use 4069 chip instead of 4049 ...
    So stay tuned ...

    2 weeks later
    #7 10 months ago

    new software version v0.2 for my Bally LED anti flicker solution, v0.1 did not work on some systems
    https://lisy.dev/bally-led-anti-flicker-solution.html
    pls. let me know in case of problems

    #8 10 months ago

    Some smart people here.

    #9 10 months ago

    Received boards and parts. Problem one is getting the pickit 3 working though, the program prior to X doesn't support the pic used, and the X program doesn't support the pickit3 I got (which is likely a bootleg clone). Seems to be a common issue with the pickit3.... might just get whatever the latest pickit thing is instead to solve that one, although I suspect that it's much more expensive.

    #10 10 months ago
    Quoted from slochar:

    and the X program doesn't support the pickit3 I got (which is likely a bootleg clone). Seems to be a common issue with the pickit3.... might just get whatever the latest pickit thing is instead to solve that one, although I suspect that it's much more expensive.

    mmh, MPLAB X IPE v6.00 works with my pickit3 clone ordered a few years ago
    I will receive this week a 'fresh ordered' pickit3.5 clone, will report if it works

    EDIT: btw, what i the problem with your PICKIT3 & X ?

    #11 10 months ago
    Quoted from bontango:

    what i the problem with your PICKIT3 & X ?

    X doesn't recognize it.

    #12 10 months ago

    Thanks to my friend Holger ( the guy who did in fact most of the anti-flicker programming) now also a program version for PIC12F683 is available
    https://lisy.dev/bally-led-anti-flicker-solution.html
    This PIC is supported by the old proprammer tool so should be working with the PICKIT3 clones
    hope that helps

    #13 10 months ago

    Latest Info:jut received my PICKIT3.5 clone and it worked together with IPE X v6.00 (standalone)
    By powering the PIC via the tool with 5V I got the usual error message that Voltage is too low ( 4,65V)
    and after reducing the power to 4,5V it worked ...
    Looks like there are different clones out there. I got mine from 'TZT-Five Star Store'
    https://de.aliexpress.com/item/1005003558613510.html

    #14 10 months ago

    I guess the issue might be that I ordered the pickit 3 (not .5) only? I'll try the .5 as I'd rather have a supported programmer vs. just buying more pics.

    IPE X was crazy with the amount of crap it installed... I need none of that. It also makes me glad I'm not a professional programmer as that environment looked crazy complicated vs. what I'm used to (notepad++ with command line assemblers....)

    #15 10 months ago
    Quoted from bontango:

    Latest Info:jut received my PICKIT3.5 clone and it worked together with IPE X v6.00 (standalone)
    By powering the PIC via the tool with 5V I got the usual error message that Voltage is too low ( 4,65V)
    and after reducing the power to 4,5V it worked ...
    Looks like there are different clones out there. I got mine from 'TZT-Five Star Store'
    https://de.aliexpress.com/item/1005003558613510.html

    Great work! this is awesome.

    ALso thank you for the aliexpress link.

    #17 10 months ago
    Quoted from slochar:

    I'd rather have a supported programmer vs. just buying more pics.

    FYI, your TL866 programmer supports the PIC12F683 so that might be an option.

    #18 10 months ago

    I don't mind acquiring new programming toys.... I did check the original pic in the tl866 but wasn't there.

    3 weeks later
    #19 9 months ago

    Will this work with the weebly mpu?
    https://nvram.weebly.com/mpu.html

    #20 9 months ago
    Quoted from northvibe:

    Will this work with the weebly mpu?
    https://nvram.weebly.com/mpu.html

    My zero cross stuff matching the original bally design pin for pin, but I am pretty sure I've moved a couple general inverter gates away from the slow 4049 to a HC04 that replaced the other 4049 used which might be an issue.

    1 week later
    #21 9 months ago

    By shifting the interrupt away from the zero crossing, doesn't this also work against a couple of designed benefits?
    Screen Shot 2023-07-27 at 10.19.00 AM (resized).pngScreen Shot 2023-07-27 at 10.19.00 AM (resized).png

    So solenoid driver transistors would experience a higher voltage spikes?
    (edit: I'm not trying to suggest that this is an actual problem -- I'm just curious)

    #22 9 months ago
    Quoted from DickHamill:

    By shifting the interrupt away from the zero crossing, doesn't this also work against a couple of designed benefits?
    [quoted image]
    So solenoid driver transistors would experience a higher voltage spikes?
    (edit: I'm not trying to suggest that this is an actual problem -- I'm just curious)

    Since the LED's use so much less current I don't think this would be a concern.

    #23 9 months ago
    Quoted from DickHamill:

    So solenoid driver transistors would experience a higher voltage spikes?

    Yes, the solenoid driver transistors will switch at higher currents levels.
    I had the same thought early on..
    The other game manufacturers don't respect switching on coils at zero crossing so I don't think it'll be an actual problem unless users report otherwise.

    The main reason the driver transistors fail in the Bally/Stern systems is because of issues with the actual coil diodes or the CA3081 pre-driver transistor arrays.

    Reply

    Wanna join the discussion? Please sign in to reply to this topic.

    Hey there! Welcome to Pinside!

    Donate to Pinside

    Great to see you're enjoying Pinside! Did you know Pinside is able to run without any 3rd-party banners or ads, thanks to the support from our visitors? Please consider a donation to Pinside and get anext to your username to show for it! Or better yet, subscribe to Pinside+!


    This page was printed from https://pinside.com/pinball/forum/topic/yet-another-bally-led-anti-flicker-solution and we tried optimising it for printing. Some page elements may have been deliberately hidden.

    Scan the QR code on the left to jump to the URL this document was printed from.